Modelsim如何保存wave窗口的波形

保留仿真后的波形,步骤如下:

1.点击file菜单下的save format,保存为.do格式文件

2.下次打开Modelsim后,在控制台输入do wave.do命令后,即可看到上次仿真的波形图

此外,点击向上箭头的按键可以重复输入上次命令。

永不止步步 发表于06-10 14:38 浏览65225次
分享到:

已有0条评论

暂时还没有回复哟,快来抢沙发吧

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67410个|学分:305117个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号