QuartusII用自带波形文件做激励进行功能仿真

主要步骤:
1.建立工程new->New project Wizard
2.输入verilog文件new->verilog hdl file
3.编译Processing->Start->Start Analyse & Synthesis
4.管脚分配Assiment->Pins在Location处双击,另外将不用的引脚设为高阻态
5.整体编译Start Compile
6.将仿真类型设为功能仿真,Assignment->Setting->Simulation Setting->Function
7.建立波形文件file->new->vector waveform file,然后导入引脚
双击name空白区->Node Finder->List-> 》
8.设置信号激励,选择左侧的某一种波形进行起始时间及周期的设定
9.生成仿真需要的网表Processing->Generate Function Simulation Netlist
10.仿真Processing->start simulation
 注意:波形仿真时要建立的波形文件加入到输入中,方法是:
Assignment->Settings->Simulator Settings中的Simulation Input栏是否为空,若为空,应将波形文件加入,否则仿真时会出现No Simulation input file assignment specified.....错误

永不止步步 发表于03-23 10:10 浏览65535次
分享到:

已有0条评论

暂时还没有回复哟,快来抢沙发吧

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67410个|学分:306117个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号