always中的if执行条件的优先级

在一个always语句中,如果出现不同级的优先级判断时,一般大家采用if........else if........else.....等,这种思维通常是软件编程的思想。对于FPGA编程时,我们一定要考虑综合后的电路。如果用过多的判断,或造成时序上的一些问题。因此,我通常我们采用以下方法:将优先级最高的放在最下面,其次是次级,最低优先级放在最上面。当下面的符合条件,上面的便不在执行。当下面的不合符条件,便执行上面的。

例如:以太网包的类型判断

永不止步步 发表于07-21 09:07 浏览65228次
分享到:

已有0条评论

暂时还没有回复哟,快来抢沙发吧

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67410个|学分:305067个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号