用VHDL设置引脚刚上电的状态

我用的EPM3128ATC100-10这个芯片,这个芯片输出脚或者输入输出脚默认的上电状态都是高电平,在quartusII里好像不能找到引脚初始状态的设置,我在程序里这样写,感觉方法很土,但确实解决了问题,我随便找了个引脚作为rst,实际我的芯片里是没用到复位引脚的,刚上电的瞬间这个引脚应该为高电平,然后才变成低电平,这是一个下降沿,程序如下:

  1. process(rst, data)
  2.     variable flag:boolean;
  3.     begin
  4.         if(rst = '0' and rst'event) then
  5.             flag := true;
  6.         else
  7.             flag := false;
  8.         end if;
  9.         if(flag) then
  10.             data <= (others => '0');
  11.         else
  12.             ....
  13.         end if;
  14.     end process;

我也试过全局变量,然后设个初值,但程序烧到芯片里之后初值好像就不起作用了,以上是我的方法,欢迎大家提意见。

永不止步步 发表于02-01 16:42 浏览65535次
分享到:

已有0条评论

暂时还没有回复哟,快来抢沙发吧

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67410个|学分:308467个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号