this signal is connected to multiple drivers

背景:Xilinx公司的FPGA  ,ISE 13.4 开发环境,  verilog HDL语言

问题描述:检查语法没有错误,用modelsim仿真也可以,但综合时出错,错误如下: 

ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<10>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toSlv> on signal <MeasureFrame<0>>; this signal is connected to multiple drivers.
ERROR:Xst:528 - Multi-source in Unit <mst_process_format_toFwd> on signal <MeasureFrame<40>>; this signal is connected to multiple drivers.

此类错误系将某同一个reg变量在多个个always块中进行了赋值操作,此类程序是不可综合的,因此须修改程序。 

切记,对于同一个reg型变量只能在一个always块中对其值进行修改,当然在其它块中可以引用其值! 

其实这种错误是可又理解的,试想两个always都在时钟的驱动下工作,如果,我说是如果,在同一个时钟时刻,在两个alway块中对同一reg型赋值条件都满足,那么你让FPGA该怎么做呢?让它听谁哪个always块的呢?

verilog最终是要生成电路在FPGA里面,这让FPGA情何又堪?如何生成电路?

永不止步步 发表于03-18 15:14 浏览65535次
分享到:

已有0条评论

暂时还没有回复哟,快来抢沙发吧

添加一条新评论

只有登录用户才能评论,请先登录注册哦!

话题作者

永不止步步
金币:67417个|学分:345841个
立即注册
畅学电子网,带你进入电子开发学习世界
专业电子工程技术学习交流社区,加入畅学一起充电加油吧!

x

畅学电子网订阅号